Список разделов Flyback.org.ru » Компоненты схем и общие вопросы построения трансформаторных hv-источников » Задающие генераторы (общая тема)
Тему сейчас просматривают - зарегистрированных: 0, скрытых: 0 и гостей: 0
Зарегестрированные - Нет
тема: Задающие генераторы (общая тема)
Ответить с цитатой

БАС
Гость


Хочется сделать нормальный генератор синуса - достаточно мощный и с хорошей регулировкой частоты. Генератор сигналов не подходит по первой причине, драйвер Коляна - по второй подмигивает Народ, посоветуйте как это по-человечески делается подмигивает

Думаю, разумно будет так: слабый синус-сигнал усиливается парой биполярников (транс - две первички, на каждую - транзюк, по ходу такая хрень push-pull называется, если не путаю). Вопрос - как получить сигнал управления? Может, микруха какая есть или можно прямоугольник в синус преобразовать (что было бы намного лучше)?

Видел на митьке генератор сигналов, вот только он до 200КГц всего - даже цену не спросил.

Добавлено: Sun Mar 20, 2005 8:55 pm
Ответить с цитатой

Николай
 


Порой в нете схемку на ОУшках (печаталась в Радио за 87 помоему год), там путевый диаппазон.
А на счет моего генератора - дык он же был посчитан и спаян только для моей теслы и ни для чего еще Smile зато простой как два пальца об асфальт. вот и нету там широкого диаппазона.

Добавлено: Sun Mar 20, 2005 9:44 pm
Ответить с цитатой

БАС
Гость


>дык он же был посчитан и спаян только для моей теслы

Да я и не наезжаю подмигивает По крайней мере он лучше моего на 2153 Smile

Добавлено: Sun Mar 20, 2005 10:33 pm
Ответить с цитатой

Grigory
здравомыслящий


Бас

У меня есть схемы из радио - на операционниках. Один генератор у меня на столе стоит - лабораторный, но он до 150 кгц, второй - был когда-то в проекте Smile Схемы могу отсканить (только завтра) и прислать.

Добавлено: Mon Mar 21, 2005 2:09 pm
Ответить с цитатой

БАС
Гость


Давай, может чего выйдет подмигивает

Заранее спасибо!

Добавлено: Mon Mar 21, 2005 5:50 pm
Ответить с цитатой

Grigory
здравомыслящий


ок - завтра будет Smile

Добавлено: Mon Mar 21, 2005 6:13 pm
Ответить с цитатой

Warlock_Wolf
 


MAX038
High-Frequency Waveform Generator

Добавлено: Wed Jan 11, 2006 12:25 am
Ответить с цитатой

XerxeS
 


А разве нильзя получить из прямоугольника синус, ткнув параллельно выходам конденсатор? Он же вроде "закругляет" фронты. Но я могу и ошибаться.

Добавлено: Wed Jan 11, 2006 10:20 am
Ответить с цитатой

Skywarrior
Only one


XerxeS писал(а):
А разве нильзя получить из прямоугольника синус, ткнув параллельно выходам конденсатор? Он же вроде "закругляет" фронты. Но я могу и ошибаться.

Напрямую нельзя, а через резюк - получишь ФНЧ 1-го порядка. Им ты несколько подавишь гармониковые частоты, но не исключишь их полностью. Еще - амплитуда ны выходе будет зависеть от частоты!

Добавлено: Wed Jan 11, 2006 10:34 am
Ответить с цитатой

Kenny
воскрес


помойму надо и кондер и индуктивность что-б ппрямоугольник превратить в синус.

а МАХ038 у меня есть, я думал сделать лабораторный генератор. но так руки и не дошли.
а ещё без частотомера что-то не особо хочеться на глаз частоту выстраивать

Добавлено: Wed Jan 11, 2006 7:12 pm
Ответить с цитатой

Пружина
Экзорцист


Нащет микрух я не знаю , а ваще идеальный(почти) дает лямбда-диод(2 полевика разной структуры)последовательно с параллельным LC контуром.Можно юзать варикап(или стабилитрон вместо него)

Добавлено: Wed Jan 11, 2006 8:45 pm
Ответить с цитатой

XerxeS
 


А вот вы мне раньше говорили, что например слабая микруха (к555ла3) не потянет полевик, а если и потянет, то на выходе будет в лучшем случае синус, т.к. затвор имеет свою емкость. Так вот: нельзя ли этот принцип использовать в генераторе БАСа? Только при снижении частоты фронты все равно будут становиться резче... Ну тогда сделать генератор начиная с определенной частоты (напр с 1 кГц) Как всегда добавлю: я могу и ошибаться Smile

Добавлено: Wed Jan 11, 2006 8:57 pm
Ответить с цитатой

Kenny
воскрес


XerxeS писал(а):
А вот вы мне раньше говорили, что например слабая микруха (к555ла3) не потянет полевик, а если и потянет, то на выходе будет в лучшем случае синус, т.к. затвор имеет свою емкость.

протсо не точно выражаясь говорят синус. там будет логоритмическя кривая на подъёме и на спуске :wink: :? (как то может не так сказал потому-что математических терминов на русском я не знаю, а жаль)

а может я не прав :?:

а МАХ038 даёт три разных сигнала (синус,пила,прямоугольник) с частотой до 20МГц с регуляцией скважности. и там пару прочих фичей имееца , кажеться

Добавлено: Wed Jan 11, 2006 9:21 pm
Ответить с цитатой

fantom
 


Такой схем не пойдёт - проще некуда. Хотя конечно цифровые микросхемы использовать в аналоговом режиме не самое то, но как вариант.

Добавлено: Thu Jan 12, 2006 5:14 pm
Ответить с цитатой

fantom
 


Чего-то файл не присоединился, попытаюсь еще раз. А понятно PDF - тут вне закона.....нехорошо -один из распространённейших форматор в мире электроники...Ладно тя его ШАС RARом.... злость

Добавлено: Thu Jan 12, 2006 5:18 pm
GENER.rar (46.94 Кб)
Схема генератора в RARе - готовте Adobe Acrobat Reader
Ответить с цитатой

Skywarrior
Only one


Нормальный генератор "синуса" кроме подавления гармониковых частот подразумевает еще и стабилизацию амплитуды выходного сигнала на том уровне, который выставил юзер. Разумеется в пределах допустимой погрешности, в т.ч. и "плаваний" по температуре и времени.

P.S.: А что мы тут умничаем, кому сабж сейчас нужен? Smile

Добавлено: Thu Jan 12, 2006 5:46 pm
Ответить с цитатой

fantom
 


БАС спрашивал.
На случай фиксированной частоты - и амплитуда фиксирована. А если хоцца круто - то можно и Г3-122 повторить (хи-хи) - корпусов 500 микросхем. Полностью цифровой синтез 0,001 Гц - 1мГц, управление от компа, самотестирование.... и все на жестской логике.

Добавлено: Fri Jan 13, 2006 9:21 am
Ответить с цитатой

Kenny
воскрес


а схемки не найдёться, а то очень инересно взглянуть?

Добавлено: Fri Jan 13, 2006 3:14 pm
Ответить с цитатой

fantom
 


Так я-же6 подключал схему. и даже кто-то уже 12 раз скачал.

Добавлено: Mon Jan 16, 2006 10:19 am
Ответить с цитатой

fantom
 


Могу еще на проце схемку кинуть - с синтезом на даза DDS - но там и прошивать проц надо и индикатор специфический.

Добавлено: Mon Jan 16, 2006 10:20 am
Ответить с цитатой

Kenny
воскрес


кинька мне на мыло

Добавлено: Mon Jan 16, 2006 12:12 pm
Ответить с цитатой

fantom
 


Улетело

Добавлено: Mon Jan 16, 2006 1:28 pm
Ответить с цитатой

Kenny
воскрес


thx

Добавлено: Mon Jan 16, 2006 2:15 pm
тема: Мёртвое время-возможна ли автоподстройка?
Ответить с цитатой

Пружина
Экзорцист


Мне недавно пришла идея собрать на быстрой логике узел обратной связи генератора и затвора полевика , тем самым она должна разрешать подачу сигнала на затвор полевика только когда другой полностью разрядица.

Както стрёмно изложил...Короче штобы деад-тайм убрать совсем и сквозняки ищезли навсегда...

Надеюсь идея ясна.Завтра картинку нарисую (щас спать пора).

Прошу высказываца.

Добавлено: Fri Jan 27, 2006 1:06 am
Ответить с цитатой

Николай
 


а этого не нужно по большому счёту.
достаточно посчитать минимально необходимый дедтайм и выставить его.
Минимальный дед-тайм следует выбрать равным тому времени, за которое ЭДС самоиндукции в первички возрастет до наибольшего разумно допустимого значения, это время будет заведомо больше, чем время необходимое на закрытие полевика (при нормальном управлении, УССшками например)

Добавлено: Fri Jan 27, 2006 1:10 am
Список разделов Flyback.org.ru » Компоненты схем и общие вопросы построения трансформаторных hv-источников » Задающие генераторы (общая тема)
На страницу 1, 2, 3 ... 16, 17, 18  След.     Просмотр темы целиком



Лицензионное соглашение

(c)Flyback.org.ru
Российское общество любителей высоких напряжений.
Использование материалов с данного сайта и форума возможно только с разрешения администрации.